initial
[esdi.git] / esdi.xpr
CommitLineData
629d050f
IS
1<?xml version="1.0" encoding="UTF-8"?>\r
2<!-- Product Version: Vivado v2019.1 (64-bit) -->\r
3<!-- -->\r
4<!-- Copyright 1986-2019 Xilinx, Inc. All Rights Reserved. -->\r
5\r
6<Project Version="7" Minor="40" Path="S:/vivado-projects/esdi/esdi/esdi.xpr">\r
7 <DefaultLaunch Dir="$PRUNDIR"/>\r
8 <Configuration>\r
9 <Option Name="Id" Val="7fbdbefb521848e9abfa9f7f113e6522"/>\r
10 <Option Name="Part" Val="xc7z007sclg225-1"/>\r
11 <Option Name="CompiledLibDir" Val="$PCACHEDIR/compile_simlib"/>\r
12 <Option Name="CompiledLibDirXSim" Val=""/>\r
13 <Option Name="CompiledLibDirModelSim" Val="$PCACHEDIR/compile_simlib/modelsim"/>\r
14 <Option Name="CompiledLibDirQuesta" Val="$PCACHEDIR/compile_simlib/questa"/>\r
15 <Option Name="CompiledLibDirIES" Val="$PCACHEDIR/compile_simlib/ies"/>\r
16 <Option Name="CompiledLibDirXcelium" Val="$PCACHEDIR/compile_simlib/xcelium"/>\r
17 <Option Name="CompiledLibDirVCS" Val="$PCACHEDIR/compile_simlib/vcs"/>\r
18 <Option Name="CompiledLibDirRiviera" Val="$PCACHEDIR/compile_simlib/riviera"/>\r
19 <Option Name="CompiledLibDirActivehdl" Val="$PCACHEDIR/compile_simlib/activehdl"/>\r
20 <Option Name="TargetSimulator" Val="ActiveHDL"/>\r
21 <Option Name="BoardPart" Val="em.avnet.com:minized:part0:1.2"/>\r
22 <Option Name="BoardPartRepoPaths" Val="C:/Users/kremlin/AppData/Roaming/Xilinx/Vivado/2019.1/xhub/board_store"/>\r
23 <Option Name="ActiveSimSet" Val="sim_1"/>\r
24 <Option Name="DefaultLib" Val="xil_defaultlib"/>\r
25 <Option Name="ProjectType" Val="Default"/>\r
26 <Option Name="IPOutputRepo" Val="$PCACHEDIR/ip"/>\r
27 <Option Name="IPCachePermission" Val="read"/>\r
28 <Option Name="IPCachePermission" Val="write"/>\r
29 <Option Name="EnableCoreContainer" Val="FALSE"/>\r
30 <Option Name="CreateRefXciForCoreContainers" Val="FALSE"/>\r
31 <Option Name="IPUserFilesDir" Val="$PIPUSERFILESDIR"/>\r
32 <Option Name="IPStaticSourceDir" Val="$PIPUSERFILESDIR/ipstatic"/>\r
33 <Option Name="EnableBDX" Val="FALSE"/>\r
34 <Option Name="DSAVendor" Val="xilinx"/>\r
35 <Option Name="DSABoardId" Val="zcu106"/>\r
36 <Option Name="DSANumComputeUnits" Val="60"/>\r
37 <Option Name="WTXSimLaunchSim" Val="0"/>\r
38 <Option Name="WTModelSimLaunchSim" Val="0"/>\r
39 <Option Name="WTQuestaLaunchSim" Val="0"/>\r
40 <Option Name="WTIesLaunchSim" Val="0"/>\r
41 <Option Name="WTVcsLaunchSim" Val="0"/>\r
42 <Option Name="WTRivieraLaunchSim" Val="0"/>\r
43 <Option Name="WTActivehdlLaunchSim" Val="0"/>\r
44 <Option Name="WTXSimExportSim" Val="0"/>\r
45 <Option Name="WTModelSimExportSim" Val="0"/>\r
46 <Option Name="WTQuestaExportSim" Val="0"/>\r
47 <Option Name="WTIesExportSim" Val="0"/>\r
48 <Option Name="WTVcsExportSim" Val="0"/>\r
49 <Option Name="WTRivieraExportSim" Val="0"/>\r
50 <Option Name="WTActivehdlExportSim" Val="0"/>\r
51 <Option Name="GenerateIPUpgradeLog" Val="TRUE"/>\r
52 <Option Name="XSimRadix" Val="hex"/>\r
53 <Option Name="XSimTimeUnit" Val="ns"/>\r
54 <Option Name="XSimArrayDisplayLimit" Val="1024"/>\r
55 <Option Name="XSimTraceLimit" Val="65536"/>\r
56 <Option Name="SimTypes" Val="rtl"/>\r
57 <Option Name="SimTypes" Val="bfm"/>\r
58 <Option Name="SimTypes" Val="tlm"/>\r
59 <Option Name="SimTypes" Val="tlm_dpi"/>\r
60 <Option Name="MEMEnableMemoryMapGeneration" Val="TRUE"/>\r
61 </Configuration>\r
62 <FileSets Version="1" Minor="31">\r
63 <FileSet Name="sources_1" Type="DesignSrcs" RelSrcDir="$PSRCDIR/sources_1">\r
64 <Filter Type="Srcs"/>\r
65 <File Path="$PSRCDIR/sources_1/new/esdi_phy_ctl.v">\r
66 <FileInfo>\r
67 <Attr Name="UsedIn" Val="synthesis"/>\r
68 <Attr Name="UsedIn" Val="implementation"/>\r
69 <Attr Name="UsedIn" Val="simulation"/>\r
70 </FileInfo>\r
71 </File>\r
72 <File Path="$PSRCDIR/sources_1/new/esdi_data_phy.v">\r
73 <FileInfo>\r
74 <Attr Name="AutoDisabled" Val="1"/>\r
75 <Attr Name="UsedIn" Val="synthesis"/>\r
76 <Attr Name="UsedIn" Val="implementation"/>\r
77 <Attr Name="UsedIn" Val="simulation"/>\r
78 </FileInfo>\r
79 </File>\r
80 <Config>\r
81 <Option Name="DesignMode" Val="RTL"/>\r
82 <Option Name="TopModule" Val="esdi_ctl_phy"/>\r
83 <Option Name="TopAutoSet" Val="TRUE"/>\r
84 </Config>\r
85 </FileSet>\r
86 <FileSet Name="constrs_1" Type="Constrs" RelSrcDir="$PSRCDIR/constrs_1">\r
87 <Filter Type="Constrs"/>\r
88 <Config>\r
89 <Option Name="ConstrsType" Val="XDC"/>\r
90 </Config>\r
91 </FileSet>\r
92 <FileSet Name="sim_1" Type="SimulationSrcs" RelSrcDir="$PSRCDIR/sim_1">\r
93 <Filter Type="Srcs"/>\r
94 <Config>\r
95 <Option Name="DesignMode" Val="RTL"/>\r
96 <Option Name="TopModule" Val="esdi_ctl_phy"/>\r
97 <Option Name="TopLib" Val="xil_defaultlib"/>\r
98 <Option Name="TopAutoSet" Val="TRUE"/>\r
99 <Option Name="TransportPathDelay" Val="0"/>\r
100 <Option Name="TransportIntDelay" Val="0"/>\r
101 <Option Name="SrcSet" Val="sources_1"/>\r
102 </Config>\r
103 </FileSet>\r
104 <FileSet Name="utils_1" Type="Utils" RelSrcDir="$PSRCDIR/utils_1">\r
105 <Filter Type="Utils"/>\r
106 <Config>\r
107 <Option Name="TopAutoSet" Val="TRUE"/>\r
108 </Config>\r
109 </FileSet>\r
110 </FileSets>\r
111 <Simulators>\r
112 <Simulator Name="XSim">\r
113 <Option Name="Description" Val="Vivado Simulator"/>\r
114 <Option Name="CompiledLib" Val="0"/>\r
115 </Simulator>\r
116 <Simulator Name="ModelSim">\r
117 <Option Name="Description" Val="ModelSim Simulator"/>\r
118 </Simulator>\r
119 <Simulator Name="Questa">\r
120 <Option Name="Description" Val="Questa Advanced Simulator"/>\r
121 </Simulator>\r
122 <Simulator Name="Riviera">\r
123 <Option Name="Description" Val="Riviera-PRO Simulator"/>\r
124 </Simulator>\r
125 <Simulator Name="ActiveHDL">\r
126 <Option Name="Description" Val="Active-HDL Simulator"/>\r
127 </Simulator>\r
128 </Simulators>\r
129 <Runs Version="1" Minor="10">\r
130 <Run Id="synth_1" Type="Ft3:Synth" SrcSet="sources_1" Part="xc7z007sclg225-1" ConstrsSet="constrs_1" Description="Vivado Synthesis Defaults" AutoIncrementalCheckpoint="false" WriteIncrSynthDcp="false" State="current" Dir="$PRUNDIR/synth_1" IncludeInArchive="true">\r
131 <Strategy Version="1" Minor="2">\r
132 <StratHandle Name="Vivado Synthesis Defaults" Flow="Vivado Synthesis 2019">\r
133 <Desc>Vivado Synthesis Defaults</Desc>\r
134 </StratHandle>\r
135 <Step Id="synth_design"/>\r
136 </Strategy>\r
137 <GeneratedRun Dir="$PRUNDIR" File="gen_run.xml"/>\r
138 <ReportStrategy Name="Vivado Synthesis Default Reports" Flow="Vivado Synthesis 2019"/>\r
139 <Report Name="ROUTE_DESIGN.REPORT_METHODOLOGY" Enabled="1"/>\r
140 </Run>\r
141 <Run Id="impl_1" Type="Ft2:EntireDesign" Part="xc7z007sclg225-1" ConstrsSet="constrs_1" Description="Default settings for Implementation." AutoIncrementalCheckpoint="false" WriteIncrSynthDcp="false" State="current" Dir="$PRUNDIR/impl_1" SynthRun="synth_1" IncludeInArchive="true" GenFullBitstream="true">\r
142 <Strategy Version="1" Minor="2">\r
143 <StratHandle Name="Vivado Implementation Defaults" Flow="Vivado Implementation 2019">\r
144 <Desc>Default settings for Implementation.</Desc>\r
145 </StratHandle>\r
146 <Step Id="init_design"/>\r
147 <Step Id="opt_design"/>\r
148 <Step Id="power_opt_design"/>\r
149 <Step Id="place_design"/>\r
150 <Step Id="post_place_power_opt_design"/>\r
151 <Step Id="phys_opt_design"/>\r
152 <Step Id="route_design"/>\r
153 <Step Id="post_route_phys_opt_design"/>\r
154 <Step Id="write_bitstream"/>\r
155 </Strategy>\r
156 <GeneratedRun Dir="$PRUNDIR" File="gen_run.xml"/>\r
157 <ReportStrategy Name="Vivado Implementation Default Reports" Flow="Vivado Implementation 2019"/>\r
158 <Report Name="ROUTE_DESIGN.REPORT_METHODOLOGY" Enabled="1"/>\r
159 </Run>\r
160 </Runs>\r
161 <Board>\r
162 <Jumpers/>\r
163 </Board>\r
164 <DashboardSummary Version="1" Minor="0">\r
165 <Dashboards>\r
166 <Dashboard Name="default_dashboard">\r
167 <Gadgets>\r
168 <Gadget Name="drc_1" Type="drc" Version="1" Row="2" Column="0">\r
169 <GadgetParam Name="REPORTS" Type="string_list" Value="impl_1#impl_1_route_report_drc_0 "/>\r
170 </Gadget>\r
171 <Gadget Name="methodology_1" Type="methodology" Version="1" Row="2" Column="1">\r
172 <GadgetParam Name="REPORTS" Type="string_list" Value="impl_1#impl_1_route_report_methodology_0 "/>\r
173 </Gadget>\r
174 <Gadget Name="power_1" Type="power" Version="1" Row="1" Column="0">\r
175 <GadgetParam Name="REPORTS" Type="string_list" Value="impl_1#impl_1_route_report_power_0 "/>\r
176 </Gadget>\r
177 <Gadget Name="timing_1" Type="timing" Version="1" Row="0" Column="1">\r
178 <GadgetParam Name="REPORTS" Type="string_list" Value="impl_1#impl_1_route_report_timing_summary_0 "/>\r
179 </Gadget>\r
180 <Gadget Name="utilization_1" Type="utilization" Version="1" Row="0" Column="0">\r
181 <GadgetParam Name="REPORTS" Type="string_list" Value="synth_1#synth_1_synth_report_utilization_0 "/>\r
182 <GadgetParam Name="RUN.STEP" Type="string" Value="synth_design"/>\r
183 <GadgetParam Name="RUN.TYPE" Type="string" Value="synthesis"/>\r
184 </Gadget>\r
185 <Gadget Name="utilization_2" Type="utilization" Version="1" Row="1" Column="1">\r
186 <GadgetParam Name="REPORTS" Type="string_list" Value="impl_1#impl_1_place_report_utilization_0 "/>\r
187 </Gadget>\r
188 </Gadgets>\r
189 </Dashboard>\r
190 <CurrentDashboard>default_dashboard</CurrentDashboard>\r
191 </Dashboards>\r
192 </DashboardSummary>\r
193 <BootPmcSettings Version="1" Minor="0">\r
194 <Parameters>\r
195 <Parameter Name="PMC_CDO.ATTRS.LOADADDR" Value="" Type="string"/>\r
196 <Parameter Name="BOOT.PMC.QSPI_ENABLE" Value="0" Type="string"/>\r
197 <Parameter Name="BOOT.PMC.QSPI_FB_CLK" Value="0" Type="string"/>\r
198 <Parameter Name="BOOT.PMC.QSPI_FREQ" Value="300" Type="string"/>\r
199 <Parameter Name="BOOT.PMC.QSPI_BUS_WIDTH" Value="x1" Type="string"/>\r
200 <Parameter Name="BOOT.PMC.QSPI_DATA_MODE" Value="Single" Type="string"/>\r
201 <Parameter Name="BOOT.PMC.SD0_ENABLE" Value="0" Type="string"/>\r
202 <Parameter Name="BOOT.PMC.SD0_FREQ" Value="200" Type="string"/>\r
203 <Parameter Name="BOOT.PMC.SD0_SLOT_TYPE" Value="SD 2.0" Type="string"/>\r
204 <Parameter Name="BOOT.PMC.SD0_DATA_TRANSFER_MODE" Value="4Bit" Type="string"/>\r
205 <Parameter Name="BOOT.PMC.SD1_ENABLE" Value="0" Type="string"/>\r
206 <Parameter Name="BOOT.PMC.SD1_FREQ" Value="200" Type="string"/>\r
207 <Parameter Name="BOOT.PMC.SD1_SLOT_TYPE" Value="SD 2.0" Type="string"/>\r
208 <Parameter Name="BOOT.PMC.SD1_DATA_TRANSFER_MODE" Value="4Bit" Type="string"/>\r
209 <Parameter Name="BOOT.PMC.OSPI_ENABLE" Value="0" Type="string"/>\r
210 <Parameter Name="BOOT.PMC.OSPI_FREQ" Value="300" Type="string"/>\r
211 <Parameter Name="BOOT.USB_ENABLE" Value="0" Type="string"/>\r
212 <Parameter Name="BOOT.PMC.SMAP_ENABLE" Value="0" Type="string"/>\r
213 <Parameter Name="BOOT.PMC.SMAP_DATA_WIDTH" Value="32 Bit" Type="string"/>\r
214 <Parameter Name="BOOT.PMC.OSC_FREQ" Value="33.333" Type="string"/>\r
215 <Parameter Name="BOOT.SECONDARY.PCIE_ENABLE" Value="0" Type="string"/>\r
216 </Parameters>\r
217 </BootPmcSettings>\r
218</Project>\r