initial
[esdi.git] / esdi.runs / impl_1 / gen_run.xml
1 <?xml version="1.0" encoding="UTF-8"?>
2 <GenRun Id="impl_1" LaunchPart="xc7z007sclg225-1" LaunchTime="1565710761">
3 <File Type="BITSTR-BMM" Name="esdi_ctl_phy_bd.bmm"/>
4 <File Type="OPT-METHODOLOGY-DRC" Name="esdi_ctl_phy_methodology_drc_opted.rpt"/>
5 <File Type="INIT-TIMING" Name="esdi_ctl_phy_timing_summary_init.rpt"/>
6 <File Type="ROUTE-PWR" Name="esdi_ctl_phy_power_routed.rpt"/>
7 <File Type="PA-TCL" Name="esdi_ctl_phy.tcl"/>
8 <File Type="OPT-TIMING" Name="esdi_ctl_phy_timing_summary_opted.rpt"/>
9 <File Type="OPT-DCP" Name="esdi_ctl_phy_opt.dcp"/>
10 <File Type="ROUTE-PWR-SUM" Name="esdi_ctl_phy_power_summary_routed.pb"/>
11 <File Type="REPORTS-TCL" Name="esdi_ctl_phy_reports.tcl"/>
12 <File Type="OPT-DRC" Name="esdi_ctl_phy_drc_opted.rpt"/>
13 <File Type="OPT-HWDEF" Name="esdi_ctl_phy.hwdef"/>
14 <File Type="PWROPT-DCP" Name="esdi_ctl_phy_pwropt.dcp"/>
15 <File Type="PWROPT-DRC" Name="esdi_ctl_phy_drc_pwropted.rpt"/>
16 <File Type="PWROPT-TIMING" Name="esdi_ctl_phy_timing_summary_pwropted.rpt"/>
17 <File Type="PLACE-DCP" Name="esdi_ctl_phy_placed.dcp"/>
18 <File Type="PLACE-IO" Name="esdi_ctl_phy_io_placed.rpt"/>
19 <File Type="PLACE-CLK" Name="esdi_ctl_phy_clock_utilization_placed.rpt"/>
20 <File Type="PLACE-UTIL" Name="esdi_ctl_phy_utilization_placed.rpt"/>
21 <File Type="PLACE-UTIL-PB" Name="esdi_ctl_phy_utilization_placed.pb"/>
22 <File Type="PLACE-CTRL" Name="esdi_ctl_phy_control_sets_placed.rpt"/>
23 <File Type="PLACE-SIMILARITY" Name="esdi_ctl_phy_incremental_reuse_placed.rpt"/>
24 <File Type="PLACE-PRE-SIMILARITY" Name="esdi_ctl_phy_incremental_reuse_pre_placed.rpt"/>
25 <File Type="BG-BGN" Name="esdi_ctl_phy.bgn"/>
26 <File Type="PLACE-TIMING" Name="esdi_ctl_phy_timing_summary_placed.rpt"/>
27 <File Type="POSTPLACE-PWROPT-DCP" Name="esdi_ctl_phy_postplace_pwropt.dcp"/>
28 <File Type="BG-BIN" Name="esdi_ctl_phy.bin"/>
29 <File Type="POSTPLACE-PWROPT-TIMING" Name="esdi_ctl_phy_timing_summary_postplace_pwropted.rpt"/>
30 <File Type="PHYSOPT-DCP" Name="esdi_ctl_phy_physopt.dcp"/>
31 <File Type="PHYSOPT-DRC" Name="esdi_ctl_phy_drc_physopted.rpt"/>
32 <File Type="BITSTR-MSK" Name="esdi_ctl_phy.msk"/>
33 <File Type="PHYSOPT-TIMING" Name="esdi_ctl_phy_timing_summary_physopted.rpt"/>
34 <File Type="ROUTE-ERROR-DCP" Name="esdi_ctl_phy_routed_error.dcp"/>
35 <File Type="ROUTE-DCP" Name="esdi_ctl_phy_routed.dcp"/>
36 <File Type="ROUTE-BLACKBOX-DCP" Name="esdi_ctl_phy_routed_bb.dcp"/>
37 <File Type="ROUTE-DRC" Name="esdi_ctl_phy_drc_routed.rpt"/>
38 <File Type="ROUTE-DRC-PB" Name="esdi_ctl_phy_drc_routed.pb"/>
39 <File Type="BITSTR-LTX" Name="debug_nets.ltx"/>
40 <File Type="BITSTR-LTX" Name="esdi_ctl_phy.ltx"/>
41 <File Type="ROUTE-DRC-RPX" Name="esdi_ctl_phy_drc_routed.rpx"/>
42 <File Type="BITSTR-MMI" Name="esdi_ctl_phy.mmi"/>
43 <File Type="ROUTE-METHODOLOGY-DRC" Name="esdi_ctl_phy_methodology_drc_routed.rpt"/>
44 <File Type="ROUTE-METHODOLOGY-DRC-RPX" Name="esdi_ctl_phy_methodology_drc_routed.rpx"/>
45 <File Type="BITSTR-SYSDEF" Name="esdi_ctl_phy.sysdef"/>
46 <File Type="ROUTE-METHODOLOGY-DRC-PB" Name="esdi_ctl_phy_methodology_drc_routed.pb"/>
47 <File Type="ROUTE-PWR-RPX" Name="esdi_ctl_phy_power_routed.rpx"/>
48 <File Type="ROUTE-STATUS" Name="esdi_ctl_phy_route_status.rpt"/>
49 <File Type="ROUTE-STATUS-PB" Name="esdi_ctl_phy_route_status.pb"/>
50 <File Type="ROUTE-TIMINGSUMMARY" Name="esdi_ctl_phy_timing_summary_routed.rpt"/>
51 <File Type="ROUTE-TIMING-PB" Name="esdi_ctl_phy_timing_summary_routed.pb"/>
52 <File Type="ROUTE-TIMING-RPX" Name="esdi_ctl_phy_timing_summary_routed.rpx"/>
53 <File Type="ROUTE-SIMILARITY" Name="esdi_ctl_phy_incremental_reuse_routed.rpt"/>
54 <File Type="ROUTE-CLK" Name="esdi_ctl_phy_clock_utilization_routed.rpt"/>
55 <File Type="ROUTE-BUS-SKEW" Name="esdi_ctl_phy_bus_skew_routed.rpt"/>
56 <File Type="ROUTE-BUS-SKEW-PB" Name="esdi_ctl_phy_bus_skew_routed.pb"/>
57 <File Type="ROUTE-BUS-SKEW-RPX" Name="esdi_ctl_phy_bus_skew_routed.rpx"/>
58 <File Type="POSTROUTE-PHYSOPT-DCP" Name="esdi_ctl_phy_postroute_physopt.dcp"/>
59 <File Type="POSTROUTE-PHYSOPT-BLACKBOX-DCP" Name="esdi_ctl_phy_postroute_physopt_bb.dcp"/>
60 <File Type="POSTROUTE-PHYSOPT-TIMING" Name="esdi_ctl_phy_timing_summary_postroute_physopted.rpt"/>
61 <File Type="POSTROUTE-PHYSOPT-TIMING-PB" Name="esdi_ctl_phy_timing_summary_postroute_physopted.pb"/>
62 <File Type="POSTROUTE-PHYSOPT-TIMING-RPX" Name="esdi_ctl_phy_timing_summary_postroute_physopted.rpx"/>
63 <File Type="POSTROUTE-PHYSOPT-BUS-SKEW" Name="esdi_ctl_phy_bus_skew_postroute_physopted.rpt"/>
64 <File Type="POSTROUTE-PHYSOPT-BUS-SKEW-PB" Name="esdi_ctl_phy_bus_skew_postroute_physopted.pb"/>
65 <File Type="BG-BIT" Name="esdi_ctl_phy.bit"/>
66 <File Type="POSTROUTE-PHYSOPT-BUS-SKEW-RPX" Name="esdi_ctl_phy_bus_skew_postroute_physopted.rpx"/>
67 <File Type="BITSTR-RBT" Name="esdi_ctl_phy.rbt"/>
68 <File Type="BITSTR-NKY" Name="esdi_ctl_phy.nky"/>
69 <File Type="BG-DRC" Name="esdi_ctl_phy.drc"/>
70 <File Type="RDI-RDI" Name="esdi_ctl_phy.vdi"/>
71 <File Type="WBT-USG" Name="usage_statistics_webtalk.html"/>
72 <FileSet Name="sources" Type="DesignSrcs" RelSrcDir="$PSRCDIR/sources_1">
73 <Filter Type="Srcs"/>
74 <File Path="$PSRCDIR/sources_1/new/esdi_phy_ctl.v">
75 <FileInfo>
76 <Attr Name="UsedIn" Val="synthesis"/>
77 <Attr Name="UsedIn" Val="implementation"/>
78 <Attr Name="UsedIn" Val="simulation"/>
79 </FileInfo>
80 </File>
81 <File Path="$PSRCDIR/sources_1/new/esdi_data_phy.v">
82 <FileInfo>
83 <Attr Name="AutoDisabled" Val="1"/>
84 <Attr Name="UsedIn" Val="synthesis"/>
85 <Attr Name="UsedIn" Val="implementation"/>
86 <Attr Name="UsedIn" Val="simulation"/>
87 </FileInfo>
88 </File>
89 <Config>
90 <Option Name="DesignMode" Val="RTL"/>
91 <Option Name="TopModule" Val="esdi_ctl_phy"/>
92 <Option Name="TopAutoSet" Val="TRUE"/>
93 </Config>
94 </FileSet>
95 <FileSet Name="constrs_in" Type="Constrs" RelSrcDir="$PSRCDIR/constrs_1">
96 <Filter Type="Constrs"/>
97 <Config>
98 <Option Name="ConstrsType" Val="XDC"/>
99 </Config>
100 </FileSet>
101 <FileSet Name="utils" Type="Utils" RelSrcDir="$PSRCDIR/utils_1">
102 <Filter Type="Utils"/>
103 <Config>
104 <Option Name="TopAutoSet" Val="TRUE"/>
105 </Config>
106 </FileSet>
107 <Strategy Version="1" Minor="2">
108 <StratHandle Name="Vivado Implementation Defaults" Flow="Vivado Implementation 2019">
109 <Desc>Default settings for Implementation.</Desc>
110 </StratHandle>
111 <Step Id="init_design"/>
112 <Step Id="opt_design"/>
113 <Step Id="power_opt_design"/>
114 <Step Id="place_design"/>
115 <Step Id="post_place_power_opt_design"/>
116 <Step Id="phys_opt_design"/>
117 <Step Id="route_design"/>
118 <Step Id="post_route_phys_opt_design"/>
119 <Step Id="write_bitstream"/>
120 </Strategy>
121 </GenRun>