initial
[esdi.git] / esdi.xpr
1 <?xml version="1.0" encoding="UTF-8"?>
2 <!-- Product Version: Vivado v2019.1 (64-bit) -->
3 <!-- -->
4 <!-- Copyright 1986-2019 Xilinx, Inc. All Rights Reserved. -->
5
6 <Project Version="7" Minor="40" Path="S:/vivado-projects/esdi/esdi/esdi.xpr">
7 <DefaultLaunch Dir="$PRUNDIR"/>
8 <Configuration>
9 <Option Name="Id" Val="7fbdbefb521848e9abfa9f7f113e6522"/>
10 <Option Name="Part" Val="xc7z007sclg225-1"/>
11 <Option Name="CompiledLibDir" Val="$PCACHEDIR/compile_simlib"/>
12 <Option Name="CompiledLibDirXSim" Val=""/>
13 <Option Name="CompiledLibDirModelSim" Val="$PCACHEDIR/compile_simlib/modelsim"/>
14 <Option Name="CompiledLibDirQuesta" Val="$PCACHEDIR/compile_simlib/questa"/>
15 <Option Name="CompiledLibDirIES" Val="$PCACHEDIR/compile_simlib/ies"/>
16 <Option Name="CompiledLibDirXcelium" Val="$PCACHEDIR/compile_simlib/xcelium"/>
17 <Option Name="CompiledLibDirVCS" Val="$PCACHEDIR/compile_simlib/vcs"/>
18 <Option Name="CompiledLibDirRiviera" Val="$PCACHEDIR/compile_simlib/riviera"/>
19 <Option Name="CompiledLibDirActivehdl" Val="$PCACHEDIR/compile_simlib/activehdl"/>
20 <Option Name="TargetSimulator" Val="ActiveHDL"/>
21 <Option Name="BoardPart" Val="em.avnet.com:minized:part0:1.2"/>
22 <Option Name="BoardPartRepoPaths" Val="C:/Users/kremlin/AppData/Roaming/Xilinx/Vivado/2019.1/xhub/board_store"/>
23 <Option Name="ActiveSimSet" Val="sim_1"/>
24 <Option Name="DefaultLib" Val="xil_defaultlib"/>
25 <Option Name="ProjectType" Val="Default"/>
26 <Option Name="IPOutputRepo" Val="$PCACHEDIR/ip"/>
27 <Option Name="IPCachePermission" Val="read"/>
28 <Option Name="IPCachePermission" Val="write"/>
29 <Option Name="EnableCoreContainer" Val="FALSE"/>
30 <Option Name="CreateRefXciForCoreContainers" Val="FALSE"/>
31 <Option Name="IPUserFilesDir" Val="$PIPUSERFILESDIR"/>
32 <Option Name="IPStaticSourceDir" Val="$PIPUSERFILESDIR/ipstatic"/>
33 <Option Name="EnableBDX" Val="FALSE"/>
34 <Option Name="DSAVendor" Val="xilinx"/>
35 <Option Name="DSABoardId" Val="zcu106"/>
36 <Option Name="DSANumComputeUnits" Val="60"/>
37 <Option Name="WTXSimLaunchSim" Val="0"/>
38 <Option Name="WTModelSimLaunchSim" Val="0"/>
39 <Option Name="WTQuestaLaunchSim" Val="0"/>
40 <Option Name="WTIesLaunchSim" Val="0"/>
41 <Option Name="WTVcsLaunchSim" Val="0"/>
42 <Option Name="WTRivieraLaunchSim" Val="0"/>
43 <Option Name="WTActivehdlLaunchSim" Val="0"/>
44 <Option Name="WTXSimExportSim" Val="0"/>
45 <Option Name="WTModelSimExportSim" Val="0"/>
46 <Option Name="WTQuestaExportSim" Val="0"/>
47 <Option Name="WTIesExportSim" Val="0"/>
48 <Option Name="WTVcsExportSim" Val="0"/>
49 <Option Name="WTRivieraExportSim" Val="0"/>
50 <Option Name="WTActivehdlExportSim" Val="0"/>
51 <Option Name="GenerateIPUpgradeLog" Val="TRUE"/>
52 <Option Name="XSimRadix" Val="hex"/>
53 <Option Name="XSimTimeUnit" Val="ns"/>
54 <Option Name="XSimArrayDisplayLimit" Val="1024"/>
55 <Option Name="XSimTraceLimit" Val="65536"/>
56 <Option Name="SimTypes" Val="rtl"/>
57 <Option Name="SimTypes" Val="bfm"/>
58 <Option Name="SimTypes" Val="tlm"/>
59 <Option Name="SimTypes" Val="tlm_dpi"/>
60 <Option Name="MEMEnableMemoryMapGeneration" Val="TRUE"/>
61 </Configuration>
62 <FileSets Version="1" Minor="31">
63 <FileSet Name="sources_1" Type="DesignSrcs" RelSrcDir="$PSRCDIR/sources_1">
64 <Filter Type="Srcs"/>
65 <File Path="$PSRCDIR/sources_1/new/esdi_phy_ctl.v">
66 <FileInfo>
67 <Attr Name="UsedIn" Val="synthesis"/>
68 <Attr Name="UsedIn" Val="implementation"/>
69 <Attr Name="UsedIn" Val="simulation"/>
70 </FileInfo>
71 </File>
72 <File Path="$PSRCDIR/sources_1/new/esdi_data_phy.v">
73 <FileInfo>
74 <Attr Name="AutoDisabled" Val="1"/>
75 <Attr Name="UsedIn" Val="synthesis"/>
76 <Attr Name="UsedIn" Val="implementation"/>
77 <Attr Name="UsedIn" Val="simulation"/>
78 </FileInfo>
79 </File>
80 <Config>
81 <Option Name="DesignMode" Val="RTL"/>
82 <Option Name="TopModule" Val="esdi_ctl_phy"/>
83 <Option Name="TopAutoSet" Val="TRUE"/>
84 </Config>
85 </FileSet>
86 <FileSet Name="constrs_1" Type="Constrs" RelSrcDir="$PSRCDIR/constrs_1">
87 <Filter Type="Constrs"/>
88 <Config>
89 <Option Name="ConstrsType" Val="XDC"/>
90 </Config>
91 </FileSet>
92 <FileSet Name="sim_1" Type="SimulationSrcs" RelSrcDir="$PSRCDIR/sim_1">
93 <Filter Type="Srcs"/>
94 <Config>
95 <Option Name="DesignMode" Val="RTL"/>
96 <Option Name="TopModule" Val="esdi_ctl_phy"/>
97 <Option Name="TopLib" Val="xil_defaultlib"/>
98 <Option Name="TopAutoSet" Val="TRUE"/>
99 <Option Name="TransportPathDelay" Val="0"/>
100 <Option Name="TransportIntDelay" Val="0"/>
101 <Option Name="SrcSet" Val="sources_1"/>
102 </Config>
103 </FileSet>
104 <FileSet Name="utils_1" Type="Utils" RelSrcDir="$PSRCDIR/utils_1">
105 <Filter Type="Utils"/>
106 <Config>
107 <Option Name="TopAutoSet" Val="TRUE"/>
108 </Config>
109 </FileSet>
110 </FileSets>
111 <Simulators>
112 <Simulator Name="XSim">
113 <Option Name="Description" Val="Vivado Simulator"/>
114 <Option Name="CompiledLib" Val="0"/>
115 </Simulator>
116 <Simulator Name="ModelSim">
117 <Option Name="Description" Val="ModelSim Simulator"/>
118 </Simulator>
119 <Simulator Name="Questa">
120 <Option Name="Description" Val="Questa Advanced Simulator"/>
121 </Simulator>
122 <Simulator Name="Riviera">
123 <Option Name="Description" Val="Riviera-PRO Simulator"/>
124 </Simulator>
125 <Simulator Name="ActiveHDL">
126 <Option Name="Description" Val="Active-HDL Simulator"/>
127 </Simulator>
128 </Simulators>
129 <Runs Version="1" Minor="10">
130 <Run Id="synth_1" Type="Ft3:Synth" SrcSet="sources_1" Part="xc7z007sclg225-1" ConstrsSet="constrs_1" Description="Vivado Synthesis Defaults" AutoIncrementalCheckpoint="false" WriteIncrSynthDcp="false" State="current" Dir="$PRUNDIR/synth_1" IncludeInArchive="true">
131 <Strategy Version="1" Minor="2">
132 <StratHandle Name="Vivado Synthesis Defaults" Flow="Vivado Synthesis 2019">
133 <Desc>Vivado Synthesis Defaults</Desc>
134 </StratHandle>
135 <Step Id="synth_design"/>
136 </Strategy>
137 <GeneratedRun Dir="$PRUNDIR" File="gen_run.xml"/>
138 <ReportStrategy Name="Vivado Synthesis Default Reports" Flow="Vivado Synthesis 2019"/>
139 <Report Name="ROUTE_DESIGN.REPORT_METHODOLOGY" Enabled="1"/>
140 </Run>
141 <Run Id="impl_1" Type="Ft2:EntireDesign" Part="xc7z007sclg225-1" ConstrsSet="constrs_1" Description="Default settings for Implementation." AutoIncrementalCheckpoint="false" WriteIncrSynthDcp="false" State="current" Dir="$PRUNDIR/impl_1" SynthRun="synth_1" IncludeInArchive="true" GenFullBitstream="true">
142 <Strategy Version="1" Minor="2">
143 <StratHandle Name="Vivado Implementation Defaults" Flow="Vivado Implementation 2019">
144 <Desc>Default settings for Implementation.</Desc>
145 </StratHandle>
146 <Step Id="init_design"/>
147 <Step Id="opt_design"/>
148 <Step Id="power_opt_design"/>
149 <Step Id="place_design"/>
150 <Step Id="post_place_power_opt_design"/>
151 <Step Id="phys_opt_design"/>
152 <Step Id="route_design"/>
153 <Step Id="post_route_phys_opt_design"/>
154 <Step Id="write_bitstream"/>
155 </Strategy>
156 <GeneratedRun Dir="$PRUNDIR" File="gen_run.xml"/>
157 <ReportStrategy Name="Vivado Implementation Default Reports" Flow="Vivado Implementation 2019"/>
158 <Report Name="ROUTE_DESIGN.REPORT_METHODOLOGY" Enabled="1"/>
159 </Run>
160 </Runs>
161 <Board>
162 <Jumpers/>
163 </Board>
164 <DashboardSummary Version="1" Minor="0">
165 <Dashboards>
166 <Dashboard Name="default_dashboard">
167 <Gadgets>
168 <Gadget Name="drc_1" Type="drc" Version="1" Row="2" Column="0">
169 <GadgetParam Name="REPORTS" Type="string_list" Value="impl_1#impl_1_route_report_drc_0 "/>
170 </Gadget>
171 <Gadget Name="methodology_1" Type="methodology" Version="1" Row="2" Column="1">
172 <GadgetParam Name="REPORTS" Type="string_list" Value="impl_1#impl_1_route_report_methodology_0 "/>
173 </Gadget>
174 <Gadget Name="power_1" Type="power" Version="1" Row="1" Column="0">
175 <GadgetParam Name="REPORTS" Type="string_list" Value="impl_1#impl_1_route_report_power_0 "/>
176 </Gadget>
177 <Gadget Name="timing_1" Type="timing" Version="1" Row="0" Column="1">
178 <GadgetParam Name="REPORTS" Type="string_list" Value="impl_1#impl_1_route_report_timing_summary_0 "/>
179 </Gadget>
180 <Gadget Name="utilization_1" Type="utilization" Version="1" Row="0" Column="0">
181 <GadgetParam Name="REPORTS" Type="string_list" Value="synth_1#synth_1_synth_report_utilization_0 "/>
182 <GadgetParam Name="RUN.STEP" Type="string" Value="synth_design"/>
183 <GadgetParam Name="RUN.TYPE" Type="string" Value="synthesis"/>
184 </Gadget>
185 <Gadget Name="utilization_2" Type="utilization" Version="1" Row="1" Column="1">
186 <GadgetParam Name="REPORTS" Type="string_list" Value="impl_1#impl_1_place_report_utilization_0 "/>
187 </Gadget>
188 </Gadgets>
189 </Dashboard>
190 <CurrentDashboard>default_dashboard</CurrentDashboard>
191 </Dashboards>
192 </DashboardSummary>
193 <BootPmcSettings Version="1" Minor="0">
194 <Parameters>
195 <Parameter Name="PMC_CDO.ATTRS.LOADADDR" Value="" Type="string"/>
196 <Parameter Name="BOOT.PMC.QSPI_ENABLE" Value="0" Type="string"/>
197 <Parameter Name="BOOT.PMC.QSPI_FB_CLK" Value="0" Type="string"/>
198 <Parameter Name="BOOT.PMC.QSPI_FREQ" Value="300" Type="string"/>
199 <Parameter Name="BOOT.PMC.QSPI_BUS_WIDTH" Value="x1" Type="string"/>
200 <Parameter Name="BOOT.PMC.QSPI_DATA_MODE" Value="Single" Type="string"/>
201 <Parameter Name="BOOT.PMC.SD0_ENABLE" Value="0" Type="string"/>
202 <Parameter Name="BOOT.PMC.SD0_FREQ" Value="200" Type="string"/>
203 <Parameter Name="BOOT.PMC.SD0_SLOT_TYPE" Value="SD 2.0" Type="string"/>
204 <Parameter Name="BOOT.PMC.SD0_DATA_TRANSFER_MODE" Value="4Bit" Type="string"/>
205 <Parameter Name="BOOT.PMC.SD1_ENABLE" Value="0" Type="string"/>
206 <Parameter Name="BOOT.PMC.SD1_FREQ" Value="200" Type="string"/>
207 <Parameter Name="BOOT.PMC.SD1_SLOT_TYPE" Value="SD 2.0" Type="string"/>
208 <Parameter Name="BOOT.PMC.SD1_DATA_TRANSFER_MODE" Value="4Bit" Type="string"/>
209 <Parameter Name="BOOT.PMC.OSPI_ENABLE" Value="0" Type="string"/>
210 <Parameter Name="BOOT.PMC.OSPI_FREQ" Value="300" Type="string"/>
211 <Parameter Name="BOOT.USB_ENABLE" Value="0" Type="string"/>
212 <Parameter Name="BOOT.PMC.SMAP_ENABLE" Value="0" Type="string"/>
213 <Parameter Name="BOOT.PMC.SMAP_DATA_WIDTH" Value="32 Bit" Type="string"/>
214 <Parameter Name="BOOT.PMC.OSC_FREQ" Value="33.333" Type="string"/>
215 <Parameter Name="BOOT.SECONDARY.PCIE_ENABLE" Value="0" Type="string"/>
216 </Parameters>
217 </BootPmcSettings>
218 </Project>