#----------------------------------------------------------- # Vivado v2019.1 (64-bit) # SW Build 2552052 on Fri May 24 14:49:42 MDT 2019 # IP Build 2548770 on Fri May 24 18:01:18 MDT 2019 # Start of session at: Tue Aug 13 10:39:28 2019 # Process ID: 13936 # Current directory: S:/vivado-projects/esdi/esdi/esdi.runs/impl_1 # Command line: vivado.exe -log esdi_ctl_phy.vdi -applog -product Vivado -messageDb vivado.pb -mode batch -source esdi_ctl_phy.tcl -notrace # Log file: S:/vivado-projects/esdi/esdi/esdi.runs/impl_1/esdi_ctl_phy.vdi # Journal file: S:/vivado-projects/esdi/esdi/esdi.runs/impl_1\vivado.jou #----------------------------------------------------------- source esdi_ctl_phy.tcl -notrace