Copyright 1986-2019 Xilinx, Inc. All Rights Reserved. ------------------------------------------------------------------------------------------------------------------- | Tool Version : Vivado v.2019.1 (win64) Build 2552052 Fri May 24 14:49:42 MDT 2019 | Date : Tue Aug 13 10:39:11 2019 | Host : KREMLINMACHINE running 64-bit major release (build 9200) | Command : report_utilization -file esdi_ctl_phy_utilization_synth.rpt -pb esdi_ctl_phy_utilization_synth.pb | Design : esdi_ctl_phy | Device : 7z007sclg225-1 | Design State : Fully Placed ------------------------------------------------------------------------------------------------------------------- Utilization Design Information Table of Contents ----------------- 1. Slice Logic 1.1 Summary of Registers by Type 2. Slice Logic Distribution 3. Memory 4. DSP 5. IO and GT Specific 6. Clocking 7. Specific Feature 8. Primitives 9. Black Boxes 10. Instantiated Netlists 1. Slice Logic -------------- +-------------------------+------+-------+-----------+-------+ | Site Type | Used | Fixed | Available | Util% | +-------------------------+------+-------+-----------+-------+ | Slice LUTs | 0 | 0 | 14400 | 0.00 | | LUT as Logic | 0 | 0 | 14400 | 0.00 | | LUT as Memory | 0 | 0 | 6000 | 0.00 | | Slice Registers | 0 | 0 | 28800 | 0.00 | | Register as Flip Flop | 0 | 0 | 28800 | 0.00 | | Register as Latch | 0 | 0 | 28800 | 0.00 | | F7 Muxes | 0 | 0 | 8800 | 0.00 | | F8 Muxes | 0 | 0 | 4400 | 0.00 | +-------------------------+------+-------+-----------+-------+ 1.1 Summary of Registers by Type -------------------------------- +-------+--------------+-------------+--------------+ | Total | Clock Enable | Synchronous | Asynchronous | +-------+--------------+-------------+--------------+ | 0 | _ | - | - | | 0 | _ | - | Set | | 0 | _ | - | Reset | | 0 | _ | Set | - | | 0 | _ | Reset | - | | 0 | Yes | - | - | | 0 | Yes | - | Set | | 0 | Yes | - | Reset | | 0 | Yes | Set | - | | 0 | Yes | Reset | - | +-------+--------------+-------------+--------------+ 2. Slice Logic Distribution --------------------------- +------------------------------------------+------+-------+-----------+-------+ | Site Type | Used | Fixed | Available | Util% | +------------------------------------------+------+-------+-----------+-------+ | Slice | 0 | 0 | 4400 | 0.00 | | SLICEL | 0 | 0 | | | | SLICEM | 0 | 0 | | | | LUT as Logic | 0 | 0 | 14400 | 0.00 | | LUT as Memory | 0 | 0 | 6000 | 0.00 | | LUT as Distributed RAM | 0 | 0 | | | | LUT as Shift Register | 0 | 0 | | | | Slice Registers | 0 | 0 | 28800 | 0.00 | | Register driven from within the Slice | 0 | | | | | Register driven from outside the Slice | 0 | | | | | Unique Control Sets | 0 | | 4400 | 0.00 | +------------------------------------------+------+-------+-----------+-------+ * Note: Available Control Sets calculated as Slice Registers / 8, Review the Control Sets Report for more information regarding control sets. 3. Memory --------- +----------------+------+-------+-----------+-------+ | Site Type | Used | Fixed | Available | Util% | +----------------+------+-------+-----------+-------+ | Block RAM Tile | 0 | 0 | 50 | 0.00 | | RAMB36/FIFO* | 0 | 0 | 50 | 0.00 | | RAMB18 | 0 | 0 | 100 | 0.00 | +----------------+------+-------+-----------+-------+ * Note: Each Block RAM Tile only has one FIFO logic available and therefore can accommodate only one FIFO36E1 or one FIFO18E1. However, if a FIFO18E1 occupies a Block RAM Tile, that tile can still accommodate a RAMB18E1 4. DSP ------ +-----------+------+-------+-----------+-------+ | Site Type | Used | Fixed | Available | Util% | +-----------+------+-------+-----------+-------+ | DSPs | 0 | 0 | 66 | 0.00 | +-----------+------+-------+-----------+-------+ 5. IO and GT Specific --------------------- +-----------------------------+------+-------+-----------+-------+ | Site Type | Used | Fixed | Available | Util% | +-----------------------------+------+-------+-----------+-------+ | Bonded IOB | 0 | 0 | 54 | 0.00 | | Bonded IPADs | 0 | 0 | 2 | 0.00 | | Bonded IOPADs | 0 | 0 | 130 | 0.00 | | PHY_CONTROL | 0 | 0 | 2 | 0.00 | | PHASER_REF | 0 | 0 | 2 | 0.00 | | OUT_FIFO | 0 | 0 | 8 | 0.00 | | IN_FIFO | 0 | 0 | 8 | 0.00 | | IDELAYCTRL | 0 | 0 | 2 | 0.00 | | IBUFDS | 0 | 0 | 54 | 0.00 | | PHASER_OUT/PHASER_OUT_PHY | 0 | 0 | 8 | 0.00 | | PHASER_IN/PHASER_IN_PHY | 0 | 0 | 8 | 0.00 | | IDELAYE2/IDELAYE2_FINEDELAY | 0 | 0 | 100 | 0.00 | | ILOGIC | 0 | 0 | 54 | 0.00 | | OLOGIC | 0 | 0 | 54 | 0.00 | +-----------------------------+------+-------+-----------+-------+ 6. Clocking ----------- +------------+------+-------+-----------+-------+ | Site Type | Used | Fixed | Available | Util% | +------------+------+-------+-----------+-------+ | BUFGCTRL | 0 | 0 | 32 | 0.00 | | BUFIO | 0 | 0 | 8 | 0.00 | | MMCME2_ADV | 0 | 0 | 2 | 0.00 | | PLLE2_ADV | 0 | 0 | 2 | 0.00 | | BUFMRCE | 0 | 0 | 4 | 0.00 | | BUFHCE | 0 | 0 | 48 | 0.00 | | BUFR | 0 | 0 | 8 | 0.00 | +------------+------+-------+-----------+-------+ 7. Specific Feature ------------------- +-------------+------+-------+-----------+-------+ | Site Type | Used | Fixed | Available | Util% | +-------------+------+-------+-----------+-------+ | BSCANE2 | 0 | 0 | 4 | 0.00 | | CAPTUREE2 | 0 | 0 | 1 | 0.00 | | DNA_PORT | 0 | 0 | 1 | 0.00 | | EFUSE_USR | 0 | 0 | 1 | 0.00 | | FRAME_ECCE2 | 0 | 0 | 1 | 0.00 | | ICAPE2 | 0 | 0 | 2 | 0.00 | | STARTUPE2 | 0 | 0 | 1 | 0.00 | | XADC | 0 | 0 | 1 | 0.00 | +-------------+------+-------+-----------+-------+ 8. Primitives ------------- +----------+------+---------------------+ | Ref Name | Used | Functional Category | +----------+------+---------------------+ 9. Black Boxes -------------- +----------+------+ | Ref Name | Used | +----------+------+ 10. Instantiated Netlists ------------------------- +----------+------+ | Ref Name | Used | +----------+------+