initial
[esdi.git] / esdi.runs / impl_1 / gen_run.xml
CommitLineData
629d050f
IS
1<?xml version="1.0" encoding="UTF-8"?>\r
2<GenRun Id="impl_1" LaunchPart="xc7z007sclg225-1" LaunchTime="1565710761">\r
3 <File Type="BITSTR-BMM" Name="esdi_ctl_phy_bd.bmm"/>\r
4 <File Type="OPT-METHODOLOGY-DRC" Name="esdi_ctl_phy_methodology_drc_opted.rpt"/>\r
5 <File Type="INIT-TIMING" Name="esdi_ctl_phy_timing_summary_init.rpt"/>\r
6 <File Type="ROUTE-PWR" Name="esdi_ctl_phy_power_routed.rpt"/>\r
7 <File Type="PA-TCL" Name="esdi_ctl_phy.tcl"/>\r
8 <File Type="OPT-TIMING" Name="esdi_ctl_phy_timing_summary_opted.rpt"/>\r
9 <File Type="OPT-DCP" Name="esdi_ctl_phy_opt.dcp"/>\r
10 <File Type="ROUTE-PWR-SUM" Name="esdi_ctl_phy_power_summary_routed.pb"/>\r
11 <File Type="REPORTS-TCL" Name="esdi_ctl_phy_reports.tcl"/>\r
12 <File Type="OPT-DRC" Name="esdi_ctl_phy_drc_opted.rpt"/>\r
13 <File Type="OPT-HWDEF" Name="esdi_ctl_phy.hwdef"/>\r
14 <File Type="PWROPT-DCP" Name="esdi_ctl_phy_pwropt.dcp"/>\r
15 <File Type="PWROPT-DRC" Name="esdi_ctl_phy_drc_pwropted.rpt"/>\r
16 <File Type="PWROPT-TIMING" Name="esdi_ctl_phy_timing_summary_pwropted.rpt"/>\r
17 <File Type="PLACE-DCP" Name="esdi_ctl_phy_placed.dcp"/>\r
18 <File Type="PLACE-IO" Name="esdi_ctl_phy_io_placed.rpt"/>\r
19 <File Type="PLACE-CLK" Name="esdi_ctl_phy_clock_utilization_placed.rpt"/>\r
20 <File Type="PLACE-UTIL" Name="esdi_ctl_phy_utilization_placed.rpt"/>\r
21 <File Type="PLACE-UTIL-PB" Name="esdi_ctl_phy_utilization_placed.pb"/>\r
22 <File Type="PLACE-CTRL" Name="esdi_ctl_phy_control_sets_placed.rpt"/>\r
23 <File Type="PLACE-SIMILARITY" Name="esdi_ctl_phy_incremental_reuse_placed.rpt"/>\r
24 <File Type="PLACE-PRE-SIMILARITY" Name="esdi_ctl_phy_incremental_reuse_pre_placed.rpt"/>\r
25 <File Type="BG-BGN" Name="esdi_ctl_phy.bgn"/>\r
26 <File Type="PLACE-TIMING" Name="esdi_ctl_phy_timing_summary_placed.rpt"/>\r
27 <File Type="POSTPLACE-PWROPT-DCP" Name="esdi_ctl_phy_postplace_pwropt.dcp"/>\r
28 <File Type="BG-BIN" Name="esdi_ctl_phy.bin"/>\r
29 <File Type="POSTPLACE-PWROPT-TIMING" Name="esdi_ctl_phy_timing_summary_postplace_pwropted.rpt"/>\r
30 <File Type="PHYSOPT-DCP" Name="esdi_ctl_phy_physopt.dcp"/>\r
31 <File Type="PHYSOPT-DRC" Name="esdi_ctl_phy_drc_physopted.rpt"/>\r
32 <File Type="BITSTR-MSK" Name="esdi_ctl_phy.msk"/>\r
33 <File Type="PHYSOPT-TIMING" Name="esdi_ctl_phy_timing_summary_physopted.rpt"/>\r
34 <File Type="ROUTE-ERROR-DCP" Name="esdi_ctl_phy_routed_error.dcp"/>\r
35 <File Type="ROUTE-DCP" Name="esdi_ctl_phy_routed.dcp"/>\r
36 <File Type="ROUTE-BLACKBOX-DCP" Name="esdi_ctl_phy_routed_bb.dcp"/>\r
37 <File Type="ROUTE-DRC" Name="esdi_ctl_phy_drc_routed.rpt"/>\r
38 <File Type="ROUTE-DRC-PB" Name="esdi_ctl_phy_drc_routed.pb"/>\r
39 <File Type="BITSTR-LTX" Name="debug_nets.ltx"/>\r
40 <File Type="BITSTR-LTX" Name="esdi_ctl_phy.ltx"/>\r
41 <File Type="ROUTE-DRC-RPX" Name="esdi_ctl_phy_drc_routed.rpx"/>\r
42 <File Type="BITSTR-MMI" Name="esdi_ctl_phy.mmi"/>\r
43 <File Type="ROUTE-METHODOLOGY-DRC" Name="esdi_ctl_phy_methodology_drc_routed.rpt"/>\r
44 <File Type="ROUTE-METHODOLOGY-DRC-RPX" Name="esdi_ctl_phy_methodology_drc_routed.rpx"/>\r
45 <File Type="BITSTR-SYSDEF" Name="esdi_ctl_phy.sysdef"/>\r
46 <File Type="ROUTE-METHODOLOGY-DRC-PB" Name="esdi_ctl_phy_methodology_drc_routed.pb"/>\r
47 <File Type="ROUTE-PWR-RPX" Name="esdi_ctl_phy_power_routed.rpx"/>\r
48 <File Type="ROUTE-STATUS" Name="esdi_ctl_phy_route_status.rpt"/>\r
49 <File Type="ROUTE-STATUS-PB" Name="esdi_ctl_phy_route_status.pb"/>\r
50 <File Type="ROUTE-TIMINGSUMMARY" Name="esdi_ctl_phy_timing_summary_routed.rpt"/>\r
51 <File Type="ROUTE-TIMING-PB" Name="esdi_ctl_phy_timing_summary_routed.pb"/>\r
52 <File Type="ROUTE-TIMING-RPX" Name="esdi_ctl_phy_timing_summary_routed.rpx"/>\r
53 <File Type="ROUTE-SIMILARITY" Name="esdi_ctl_phy_incremental_reuse_routed.rpt"/>\r
54 <File Type="ROUTE-CLK" Name="esdi_ctl_phy_clock_utilization_routed.rpt"/>\r
55 <File Type="ROUTE-BUS-SKEW" Name="esdi_ctl_phy_bus_skew_routed.rpt"/>\r
56 <File Type="ROUTE-BUS-SKEW-PB" Name="esdi_ctl_phy_bus_skew_routed.pb"/>\r
57 <File Type="ROUTE-BUS-SKEW-RPX" Name="esdi_ctl_phy_bus_skew_routed.rpx"/>\r
58 <File Type="POSTROUTE-PHYSOPT-DCP" Name="esdi_ctl_phy_postroute_physopt.dcp"/>\r
59 <File Type="POSTROUTE-PHYSOPT-BLACKBOX-DCP" Name="esdi_ctl_phy_postroute_physopt_bb.dcp"/>\r
60 <File Type="POSTROUTE-PHYSOPT-TIMING" Name="esdi_ctl_phy_timing_summary_postroute_physopted.rpt"/>\r
61 <File Type="POSTROUTE-PHYSOPT-TIMING-PB" Name="esdi_ctl_phy_timing_summary_postroute_physopted.pb"/>\r
62 <File Type="POSTROUTE-PHYSOPT-TIMING-RPX" Name="esdi_ctl_phy_timing_summary_postroute_physopted.rpx"/>\r
63 <File Type="POSTROUTE-PHYSOPT-BUS-SKEW" Name="esdi_ctl_phy_bus_skew_postroute_physopted.rpt"/>\r
64 <File Type="POSTROUTE-PHYSOPT-BUS-SKEW-PB" Name="esdi_ctl_phy_bus_skew_postroute_physopted.pb"/>\r
65 <File Type="BG-BIT" Name="esdi_ctl_phy.bit"/>\r
66 <File Type="POSTROUTE-PHYSOPT-BUS-SKEW-RPX" Name="esdi_ctl_phy_bus_skew_postroute_physopted.rpx"/>\r
67 <File Type="BITSTR-RBT" Name="esdi_ctl_phy.rbt"/>\r
68 <File Type="BITSTR-NKY" Name="esdi_ctl_phy.nky"/>\r
69 <File Type="BG-DRC" Name="esdi_ctl_phy.drc"/>\r
70 <File Type="RDI-RDI" Name="esdi_ctl_phy.vdi"/>\r
71 <File Type="WBT-USG" Name="usage_statistics_webtalk.html"/>\r
72 <FileSet Name="sources" Type="DesignSrcs" RelSrcDir="$PSRCDIR/sources_1">\r
73 <Filter Type="Srcs"/>\r
74 <File Path="$PSRCDIR/sources_1/new/esdi_phy_ctl.v">\r
75 <FileInfo>\r
76 <Attr Name="UsedIn" Val="synthesis"/>\r
77 <Attr Name="UsedIn" Val="implementation"/>\r
78 <Attr Name="UsedIn" Val="simulation"/>\r
79 </FileInfo>\r
80 </File>\r
81 <File Path="$PSRCDIR/sources_1/new/esdi_data_phy.v">\r
82 <FileInfo>\r
83 <Attr Name="AutoDisabled" Val="1"/>\r
84 <Attr Name="UsedIn" Val="synthesis"/>\r
85 <Attr Name="UsedIn" Val="implementation"/>\r
86 <Attr Name="UsedIn" Val="simulation"/>\r
87 </FileInfo>\r
88 </File>\r
89 <Config>\r
90 <Option Name="DesignMode" Val="RTL"/>\r
91 <Option Name="TopModule" Val="esdi_ctl_phy"/>\r
92 <Option Name="TopAutoSet" Val="TRUE"/>\r
93 </Config>\r
94 </FileSet>\r
95 <FileSet Name="constrs_in" Type="Constrs" RelSrcDir="$PSRCDIR/constrs_1">\r
96 <Filter Type="Constrs"/>\r
97 <Config>\r
98 <Option Name="ConstrsType" Val="XDC"/>\r
99 </Config>\r
100 </FileSet>\r
101 <FileSet Name="utils" Type="Utils" RelSrcDir="$PSRCDIR/utils_1">\r
102 <Filter Type="Utils"/>\r
103 <Config>\r
104 <Option Name="TopAutoSet" Val="TRUE"/>\r
105 </Config>\r
106 </FileSet>\r
107 <Strategy Version="1" Minor="2">\r
108 <StratHandle Name="Vivado Implementation Defaults" Flow="Vivado Implementation 2019">\r
109 <Desc>Default settings for Implementation.</Desc>\r
110 </StratHandle>\r
111 <Step Id="init_design"/>\r
112 <Step Id="opt_design"/>\r
113 <Step Id="power_opt_design"/>\r
114 <Step Id="place_design"/>\r
115 <Step Id="post_place_power_opt_design"/>\r
116 <Step Id="phys_opt_design"/>\r
117 <Step Id="route_design"/>\r
118 <Step Id="post_route_phys_opt_design"/>\r
119 <Step Id="write_bitstream"/>\r
120 </Strategy>\r
121</GenRun>\r