initial
[esdi.git] / esdi.cache / wt / webtalk_pa.xml
1 <?xml version="1.0" encoding="UTF-8" ?>
2 <document>
3 <!--The data in this file is primarily intended for consumption by Xilinx tools.
4 The structure and the elements are likely to change over the next few releases.
5 This means code written to parse this file will need to be revisited each subsequent release.-->
6 <application name="pa" timeStamp="Tue Aug 13 10:39:21 2019">
7 <section name="Project Information" visible="false">
8 <property name="ProjectID" value="e27f0447273746a49069d8f4f202ad6d" type="ProjectID"/>
9 <property name="ProjectIteration" value="2" type="ProjectIteration"/>
10 </section>
11 <section name="PlanAhead Usage" visible="true">
12 <item name="Project Data">
13 <property name="SrcSetCount" value="1" type="SrcSetCount"/>
14 <property name="ConstraintSetCount" value="1" type="ConstraintSetCount"/>
15 <property name="DesignMode" value="RTL" type="DesignMode"/>
16 <property name="SynthesisStrategy" value="Vivado Synthesis Defaults" type="SynthesisStrategy"/>
17 <property name="ImplStrategy" value="Vivado Implementation Defaults" type="ImplStrategy"/>
18 </item>
19 <item name="Java Command Handlers">
20 <property name="AddDesignTools" value="2" type="JavaHandler"/>
21 <property name="CoreView" value="1" type="JavaHandler"/>
22 <property name="LaunchXhubDownloader" value="1" type="JavaHandler"/>
23 <property name="NewProject" value="1" type="JavaHandler"/>
24 <property name="OpenProject" value="1" type="JavaHandler"/>
25 <property name="RunImplementation" value="2" type="JavaHandler"/>
26 <property name="RunSynthesis" value="1" type="JavaHandler"/>
27 <property name="SimulationCompileLibraries" value="1" type="JavaHandler"/>
28 <property name="ToolsSettings" value="7" type="JavaHandler"/>
29 </item>
30 <item name="Gui Handlers">
31 <property name="BaseDialog_CANCEL" value="3" type="GuiHandlerData"/>
32 <property name="BaseDialog_OK" value="7" type="GuiHandlerData"/>
33 <property name="BoardChooser_BOARD_TABLE" value="48" type="GuiHandlerData"/>
34 <property name="BoardChooser_UPDATE_BOARD_REPOSITORIES" value="1" type="GuiHandlerData"/>
35 <property name="CoreTreeTablePanel_CORE_TREE_TABLE" value="1" type="GuiHandlerData"/>
36 <property name="FPGAChooser_FPGA_TABLE" value="1" type="GuiHandlerData"/>
37 <property name="FileSetPanel_FILE_SET_PANEL_TREE" value="3" type="GuiHandlerData"/>
38 <property name="FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE" value="1" type="GuiHandlerData"/>
39 <property name="GettingStartedView_CREATE_NEW_PROJECT" value="1" type="GuiHandlerData"/>
40 <property name="GettingStartedView_OPEN_PROJECT" value="1" type="GuiHandlerData"/>
41 <property name="HPopupTitle_CLOSE" value="1" type="GuiHandlerData"/>
42 <property name="MainMenuMgr_CHECKPOINT" value="3" type="GuiHandlerData"/>
43 <property name="MainMenuMgr_DESIGN_HUBS" value="5" type="GuiHandlerData"/>
44 <property name="MainMenuMgr_EDIT" value="14" type="GuiHandlerData"/>
45 <property name="MainMenuMgr_EXPORT" value="4" type="GuiHandlerData"/>
46 <property name="MainMenuMgr_FILE" value="10" type="GuiHandlerData"/>
47 <property name="MainMenuMgr_FLOW" value="29" type="GuiHandlerData"/>
48 <property name="MainMenuMgr_HELP" value="22" type="GuiHandlerData"/>
49 <property name="MainMenuMgr_IP" value="3" type="GuiHandlerData"/>
50 <property name="MainMenuMgr_PROJECT" value="4" type="GuiHandlerData"/>
51 <property name="MainMenuMgr_REPORTS" value="20" type="GuiHandlerData"/>
52 <property name="MainMenuMgr_SETTINGS" value="3" type="GuiHandlerData"/>
53 <property name="MainMenuMgr_TEXT_EDITOR" value="4" type="GuiHandlerData"/>
54 <property name="MainMenuMgr_TOOLS" value="40" type="GuiHandlerData"/>
55 <property name="MainMenuMgr_VIEW" value="21" type="GuiHandlerData"/>
56 <property name="MainMenuMgr_WINDOW" value="24" type="GuiHandlerData"/>
57 <property name="MainToolbarMgr_RUN" value="2" type="GuiHandlerData"/>
58 <property name="MainWinMenuMgr_LAYOUT" value="15" type="GuiHandlerData"/>
59 <property name="NewProjectWizard_DO_NOT_SPECIFY_SOURCES_AT_THIS_TIME" value="2" type="GuiHandlerData"/>
60 <property name="PACommandNames_ADD_DESIGN_TOOLS" value="2" type="GuiHandlerData"/>
61 <property name="PACommandNames_DOC_AND_TUTORIAL_HELP" value="1" type="GuiHandlerData"/>
62 <property name="PACommandNames_QUICK_HELP" value="1" type="GuiHandlerData"/>
63 <property name="PACommandNames_RUN_IMPLEMENTATION" value="1" type="GuiHandlerData"/>
64 <property name="PACommandNames_RUN_SYNTHESIS" value="1" type="GuiHandlerData"/>
65 <property name="PACommandNames_SIMULATION_COMPILE_LIBRARIES" value="1" type="GuiHandlerData"/>
66 <property name="PACommandNames_SIMULATION_SETTINGS" value="1" type="GuiHandlerData"/>
67 <property name="ProgressDialog_CANCEL" value="1" type="GuiHandlerData"/>
68 <property name="ProjectNameChooser_CHOOSE_PROJECT_LOCATION" value="2" type="GuiHandlerData"/>
69 <property name="ProjectNameChooser_PROJECT_NAME" value="1" type="GuiHandlerData"/>
70 <property name="ProjectSettingsSimulationPanel_TABBED_PANE" value="1" type="GuiHandlerData"/>
71 <property name="ProjectSettingsSimulationPanel_TARGET_SIMULATOR" value="3" type="GuiHandlerData"/>
72 <property name="RDICommands_CUSTOM_COMMANDS" value="6" type="GuiHandlerData"/>
73 <property name="RDICommands_SETTINGS" value="6" type="GuiHandlerData"/>
74 <property name="SettingsDialog_OPTIONS_TREE" value="2" type="GuiHandlerData"/>
75 <property name="SettingsDialog_PROJECT_TREE" value="7" type="GuiHandlerData"/>
76 <property name="SettingsProjectGeneralPage_CHOOSE_DEVICE_FOR_YOUR_PROJECT" value="1" type="GuiHandlerData"/>
77 <property name="SimulationCompileLibrariesDialog_COMPILE" value="1" type="GuiHandlerData"/>
78 <property name="SimulationCompileLibrariesDialog_SIMULATOR" value="1" type="GuiHandlerData"/>
79 </item>
80 </section>
81 </application>
82 </document>