initial
[esdi.git] / esdi.runs / impl_1 / runme.log
CommitLineData
629d050f
IS
1\r
2*** Running vivado\r
3 with args -log esdi_ctl_phy.vdi -applog -m64 -product Vivado -messageDb vivado.pb -mode batch -source esdi_ctl_phy.tcl -notrace\r
4\r
5\r
6****** Vivado v2019.1 (64-bit)\r
7 **** SW Build 2552052 on Fri May 24 14:49:42 MDT 2019\r
8 **** IP Build 2548770 on Fri May 24 18:01:18 MDT 2019\r
9 ** Copyright 1986-2019 Xilinx, Inc. All Rights Reserved.\r
10\r
11source esdi_ctl_phy.tcl -notrace\r
12Command: link_design -top esdi_ctl_phy -part xc7z007sclg225-1\r
13Design is defaulting to srcset: sources_1\r
14Design is defaulting to constrset: constrs_1\r
15INFO: [Device 21-403] Loading part xc7z007sclg225-1\r
16INFO: [Project 1-479] Netlist was created with Vivado 2019.1\r
17INFO: [Project 1-570] Preparing netlist for logic optimization\r
18Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 746.855 ; gain = 0.000\r
19INFO: [Project 1-111] Unisim Transformation Summary:\r
20No Unisim elements were transformed.\r
21\r
224 Infos, 0 Warnings, 0 Critical Warnings and 0 Errors encountered.\r
23link_design completed successfully\r
24link_design: Time (s): cpu = 00:00:07 ; elapsed = 00:00:09 . Memory (MB): peak = 746.855 ; gain = 348.582\r
25Command: opt_design\r
26Attempting to get a license for feature 'Implementation' and/or device 'xc7z007s'\r
27INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7z007s'\r
28Running DRC as a precondition to command opt_design\r
29\r
30Starting DRC Task\r
31INFO: [DRC 23-27] Running DRC with 2 threads\r
32INFO: [Project 1-461] DRC finished with 0 Errors\r
33INFO: [Project 1-462] Please refer to the DRC report (report_drc) for more information.\r
34\r
35Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.089 . Memory (MB): peak = 772.863 ; gain = 25.824\r
36\r
37Starting Cache Timing Information Task\r
38INFO: [Timing 38-35] Done setting XDC timing constraints.\r
39Ending Cache Timing Information Task | Checksum: 686e1c75\r
40\r
41Time (s): cpu = 00:00:09 ; elapsed = 00:00:10 . Memory (MB): peak = 1245.227 ; gain = 472.363\r
42\r
43Starting Logic Optimization Task\r
44\r
45Phase 1 Retarget\r
46INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s).\r
47INFO: [Opt 31-49] Retargeted 0 cell(s).\r
48Phase 1 Retarget | Checksum: 686e1c75\r
49\r
50Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.028 . Memory (MB): peak = 1400.414 ; gain = 14.781\r
51INFO: [Opt 31-389] Phase Retarget created 0 cells and removed 0 cells\r
52\r
53Phase 2 Constant propagation\r
54INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s).\r
55Phase 2 Constant propagation | Checksum: 686e1c75\r
56\r
57Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.030 . Memory (MB): peak = 1400.414 ; gain = 14.781\r
58INFO: [Opt 31-389] Phase Constant propagation created 0 cells and removed 0 cells\r
59\r
60Phase 3 Sweep\r
61Phase 3 Sweep | Checksum: 686e1c75\r
62\r
63Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.035 . Memory (MB): peak = 1400.414 ; gain = 14.781\r
64INFO: [Opt 31-389] Phase Sweep created 0 cells and removed 0 cells\r
65\r
66Phase 4 BUFG optimization\r
67Phase 4 BUFG optimization | Checksum: 686e1c75\r
68\r
69Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.037 . Memory (MB): peak = 1400.414 ; gain = 14.781\r
70INFO: [Opt 31-662] Phase BUFG optimization created 0 cells of which 0 are BUFGs and removed 0 cells.\r
71\r
72Phase 5 Shift Register Optimization\r
73INFO: [Opt 31-1064] SRL Remap converted 0 SRLs to 0 registers and converted 0 registers of register chains to 0 SRLs\r
74Phase 5 Shift Register Optimization | Checksum: 686e1c75\r
75\r
76Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.039 . Memory (MB): peak = 1400.414 ; gain = 14.781\r
77INFO: [Opt 31-389] Phase Shift Register Optimization created 0 cells and removed 0 cells\r
78\r
79Phase 6 Post Processing Netlist\r
80Phase 6 Post Processing Netlist | Checksum: 686e1c75\r
81\r
82Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.040 . Memory (MB): peak = 1400.414 ; gain = 14.781\r
83INFO: [Opt 31-389] Phase Post Processing Netlist created 0 cells and removed 0 cells\r
84Opt_design Change Summary\r
85=========================\r
86\r
87\r
88-------------------------------------------------------------------------------------------------------------------------\r
89| Phase | #Cells created | #Cells Removed | #Constrained objects preventing optimizations |\r
90-------------------------------------------------------------------------------------------------------------------------\r
91| Retarget | 0 | 0 | 0 |\r
92| Constant propagation | 0 | 0 | 0 |\r
93| Sweep | 0 | 0 | 0 |\r
94| BUFG optimization | 0 | 0 | 0 |\r
95| Shift Register Optimization | 0 | 0 | 0 |\r
96| Post Processing Netlist | 0 | 0 | 0 |\r
97-------------------------------------------------------------------------------------------------------------------------\r
98\r
99\r
100\r
101Starting Connectivity Check Task\r
102\r
103Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1400.414 ; gain = 0.000\r
104Ending Logic Optimization Task | Checksum: 686e1c75\r
105\r
106Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.043 . Memory (MB): peak = 1400.414 ; gain = 14.781\r
107\r
108Starting Power Optimization Task\r
109INFO: [Pwropt 34-132] Skipping clock gating for clocks with a period < 2.00 ns.\r
110Ending Power Optimization Task | Checksum: 686e1c75\r
111\r
112Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.013 . Memory (MB): peak = 1400.414 ; gain = 0.000\r
113\r
114Starting Final Cleanup Task\r
115Ending Final Cleanup Task | Checksum: 686e1c75\r
116\r
117Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.001 . Memory (MB): peak = 1400.414 ; gain = 0.000\r
118\r
119Starting Netlist Obfuscation Task\r
120Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1400.414 ; gain = 0.000\r
121Ending Netlist Obfuscation Task | Checksum: 686e1c75\r
122\r
123Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1400.414 ; gain = 0.000\r
124INFO: [Common 17-83] Releasing license: Implementation\r
12521 Infos, 0 Warnings, 0 Critical Warnings and 0 Errors encountered.\r
126opt_design completed successfully\r
127opt_design: Time (s): cpu = 00:00:12 ; elapsed = 00:00:12 . Memory (MB): peak = 1400.414 ; gain = 653.559\r
128Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1400.414 ; gain = 0.000\r
129WARNING: [Constraints 18-5210] No constraints selected for write.\r
130Resolution: This message can indicate that there are no constraints for the design, or it can indicate that the used_in flags are set such that the constraints are ignored. This later case is used when running synth_design to not write synthesis constraints to the resulting checkpoint. Instead, project constraints are read when the synthesized design is opened.\r
131INFO: [Common 17-1381] The checkpoint 'S:/vivado-projects/esdi/esdi/esdi.runs/impl_1/esdi_ctl_phy_opt.dcp' has been generated.\r
132INFO: [runtcl-4] Executing : report_drc -file esdi_ctl_phy_drc_opted.rpt -pb esdi_ctl_phy_drc_opted.pb -rpx esdi_ctl_phy_drc_opted.rpx\r
133Command: report_drc -file esdi_ctl_phy_drc_opted.rpt -pb esdi_ctl_phy_drc_opted.pb -rpx esdi_ctl_phy_drc_opted.rpx\r
134INFO: [IP_Flow 19-234] Refreshing IP repositories\r
135INFO: [IP_Flow 19-1704] No user IP repositories specified\r
136INFO: [IP_Flow 19-2313] Loaded Vivado IP repository 'S:/vivado/Vivado/2019.1/data/ip'.\r
137INFO: [DRC 23-27] Running DRC with 2 threads\r
138INFO: [Coretcl 2-168] The results of DRC are in file S:/vivado-projects/esdi/esdi/esdi.runs/impl_1/esdi_ctl_phy_drc_opted.rpt.\r
139report_drc completed successfully\r
140Command: place_design\r
141Attempting to get a license for feature 'Implementation' and/or device 'xc7z007s'\r
142INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7z007s'\r
143INFO: [DRC 23-27] Running DRC with 2 threads\r
144INFO: [Vivado_Tcl 4-198] DRC finished with 0 Errors\r
145INFO: [Vivado_Tcl 4-199] Please refer to the DRC report (report_drc) for more information.\r
146Running DRC as a precondition to command place_design\r
147INFO: [DRC 23-27] Running DRC with 2 threads\r
148INFO: [Vivado_Tcl 4-198] DRC finished with 0 Errors\r
149INFO: [Vivado_Tcl 4-199] Please refer to the DRC report (report_drc) for more information.\r
150\r
151Starting Placer Task\r
152INFO: [Place 30-611] Multithreading enabled for place_design using a maximum of 2 CPUs\r
153\r
154Phase 1 Placer Initialization\r
155\r
156Phase 1.1 Placer Initialization Netlist Sorting\r
157Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1420.277 ; gain = 0.000\r
158Phase 1.1 Placer Initialization Netlist Sorting | Checksum: 00000000\r
159\r
160Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.010 . Memory (MB): peak = 1420.277 ; gain = 0.000\r
161Phase 1 Placer Initialization | Checksum: 00000000\r
162\r
163Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.015 . Memory (MB): peak = 1420.277 ; gain = 0.000\r
164ERROR: [Place 30-494] The design is empty\r
165Resolution: Check if opt_design has removed all the leaf cells of your design. Check whether you have instantiated and connected all of the top level ports.\r
166Ending Placer Task | Checksum: 00000000\r
167\r
168Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.017 . Memory (MB): peak = 1420.277 ; gain = 0.000\r
169INFO: [Common 17-83] Releasing license: Implementation\r
17037 Infos, 1 Warnings, 0 Critical Warnings and 2 Errors encountered.\r
171place_design failed\r
172ERROR: [Common 17-69] Command failed: Placer could not place all instances\r
173INFO: [Common 17-206] Exiting Vivado at Tue Aug 13 10:39:56 2019...\r